• ceann_bratach_01

Hirschmann MSP30-08040SCZ9URHHE3A Power Configurator Modular Industrial DIN Rail Ethernet Ethernet MSP30/40 Switch

Tuairisgeul goirid:

Tha an raon toraidh suidse BPA a’ tabhann modularity iomlan agus diofar roghainnean puirt aig astar luath le suas ri 10 Gbit / s.Bheir pasganan bathar-bog Roghainneil Layer 3 airson slighe fiùghantach unicast (UR) agus slighe ioma-chraoladh fiùghantach (MR) buannachd cosgais tarraingeach dhut-“Dìreach pàigh airson na tha a dhìth ort.”Taing do thaic Power over Ethernet Plus (PoE +), faodaidh uidheamachd crìochnachaidh a bhith air a chumhachdachadh gu cosg-èifeachdach.


Mion-fhiosrachadh toraidh

Bathar Tags

Tuairisgeul

 

Tuairisgeul toraidh

Tuairisgeul Tionndadh gnìomhachais modular Gigabit Ethernet airson DIN Rail, dealbhadh gun fan, Bathar-bog HiOS Layer 3 Advanced, Sgaoileadh Bathar-bog 08.7
Seòrsa port agus meud Puirt Ethernet luath gu h-iomlan: 8;Puirt Gigabit Ethernet: 4

 

Tuilleadh eadar-aghaidh

Solar cumhachd / conaltradh comharran 2 x bloc crìochnachaidh plug-in, 4-pin
V.24 eadar-aghaidh Socaid 1 x RJ45
Sliotan cairt SD Sliotan cairt 1 x SD gus an inneal rèiteachaidh fèin-ghluasadach ACA31 a cheangal
Eadar-aghaidh USB 1 x USB gus inneal-atharrachaidh fèin-rèiteachaidh ACA21-USB a cheangal

 

Lìonra meud - cascadibility

Loidhne - / topology rionnag sam bith

 

Riatanasan cumhachd

Voltage-obrachaidh 24 V DC (18-32) V
Caitheamh cumhachd 16.0 W
Toradh cumhachd ann am BTU (IT) / h 55

 

Bathar-bog

Ag atharrachadh Ionnsachadh VLAN Neo-eisimeileach, Aosachadh Luath, Inntrigidhean Seòladh Static Unicast/Multicast, Prìomhachas QoS / Port (802.1D/p), Prìomhachas TOS/DSCP, Modh Urras Eadar-aghaidh, Riaghladh Ciudha CoS, Seòrsachadh IP Ingress DiffServ agus Poileas, Seòrsachadh IP Egress DiffServ agus Obair-phoileis, cumadh ciudha / Max.Bandwidth ciudha, smachd sruthadh (802.3X), cumadh eadar-aghaidh Egress, Dìon Stoirm Ingress, Frèamaichean Jumbo, VLAN (802.1Q), VLAN stèidhichte air protocol, Modh Neo-aithnichte VLAN, Pròtacal Clàraidh GARP VLAN (GVRP), Voice VLAN, stèidhichte air MAC VLAN, VLAN stèidhichte air subnet IP, Pròtacal Clàraidh Multicast GARP (GMRP), IGMP Snooping / Querier gach VLAN (v1 / v2 / v3), Filter Multicast Neo-aithnichte, Pròtacal Clàraidh Ioma VLAN (MVRP), Pròtacal Clàraidh Ioma MAC (MMRP), Pròtacal Ioma-chlàraidh (MRP) Sreath 2 Dìon lùb
Iomallachd HIPER-Ring (Ring Switch), HIPER-Ring thairis air Cruinneachadh Ceangail, Co-chruinneachadh Ceangail le LACP, Cùl-taic Ceangal, Pròtacal Iomallach Meadhanan (MRP) (IEC62439-2), MRP thairis air Cruinneachadh Ceangail, Ceangal Lìonra gun fheum, Manaidsear Fo-chearcall, RSTP 802.1 D-2004 (IEC62439-1), MSTP (802.1Q), RSTP Guards VRRP, VRRP Tracking, HiVRRP (leasachaidhean VRRP)
Riaghladh Cliant DNS, Taic Ìomhaigh Bathar-bog Dùbailte, TFTP, SFTP, SCP, LLDP (802.1AB), LLDP-MED, SSHv2, V.24, HTTP, HTTPS, Traps, SNMP v1 / v2 / v3, Telnet OPC-UA Server
Diagnosachd Dearbhadh Còmhstri Seòladh Riaghlaidh, Fios MAC, Fios Chomharran, Comharradh Inbhe Inneal, TCPDump, LEDs, Syslog, Logadh Seasmhach air ACA, Fios Post-d, Sgrùdadh Port le fèin-chomasachadh, Lorg Flap Ceangail, Lorg cus cuideim, lorg mearachd dà-fhillte, astar ceangail agus Sgrùdadh Duplex, RMON (1,2,3,9), Port Mirroring 1: 1, Port Mirroring 8: 1, Port Mirroring N: 1, RSPAN, SFLOW, VLAN Mirroring, Port Mirroring N: 2, System Information, Fèin- Deuchainnean air tòiseachadh fuar, deuchainn càball copair, riaghladh SFP, còmhradh sgrùdaidh rèiteachaidh, dumpadh suidse, feart rèiteachaidh snapshot, lorg còmhstri seòlaidh airson eadar-aghaidh slighe
Rèiteachadh Cuir às do rèiteachadh fèin-ghluasadach (rolaig air ais), lorgan-meòir rèiteachaidh, faidhle rèiteachaidh stèidhichte air teacsa (XML), config cùl-taic air frithealaiche iomallach nuair a shàbhalas tu, Glan config ach cùm roghainnean IP, BOOTP / DHCP Client le Auto-Configuration, frithealaiche DHCP: gach Port, frithealaiche DHCP: Amaran gach VLAN, Adaptor AutoConfiguration ACA31 (cairt SD), Adaptor AutoConfiguration ACA21/22 (USB), HiDiscovery, DHCP Relay le Roghainn 82, Eadar-aghaidh Loidhne-àithne (CLI), CLI Scripting, làimhseachadh sgriobt CLI thairis air ENVM aig bròg, Taic MIB làn-nochdadh, Stiùireadh stèidhichte air an lìon, Cobhair mothachail air co-theacsa, Stiùireadh stèidhichte air HTML5
Tèarainteachd Tèarainteachd Port stèidhichte air MAC, Smachd Ruigsinneachd stèidhichte air Port le 802.1X, VLAN aoigh / neo-dhearbhte, Freiceadan Dearbhaidh Amalaichte (IAS), Sònrachadh RADIUS VLAN, Sònrachadh Poileasaidh RADIUS, Dearbhadh Ioma-chleachdaiche gach Port, Seach-rathad Dearbhaidh MAC, roghainnean cruth airson MAC seach-rathad dearbhaidh, DHCP Snooping, Freiceadan Stòr IP, Sgrùdadh ARP Dynamic, Bacadh diùltadh seirbheis, LDAP, ACL stèidhichte air Ingress MAC, ACL stèidhichte air Egress MAC, ACL stèidhichte air Ingress IPv4, ACL stèidhichte air Egress IPv4, stèidhichte air ùine ACL, ACL stèidhichte air VLAN, ACL stèidhichte air Ingress VLAN, ACL stèidhichte air Egress VLAN, Crìochan stèidhichte air sruthadh ACL, Cothrom air Riaghladh air a chuingealachadh le VLAN, Comharra Tèarainteachd Innealan, Slighe Sgrùdaidh, Logadh CLI, Riaghladh Teisteanas HTTPS, Ruigsinneachd Riaghladh Cuingealaichte, Bratach Cleachdadh Iomchaidh, Poileasaidh Facal-faire Rèitichte, Àireamh rèiteachaidh oidhirpean logadh a-steach, Logadh SNMP, Ioma ìrean sochair, riaghladh luchd-cleachdaidh ionadail, dearbhadh air astar tro RADIUS, glasadh cunntas cleachdaiche, atharrachadh facal-faire air a’ chiad logadh a-steach
Sioncronadh ùine Cloc follaiseach PTPv2 dà-cheum, Cloc Crìochan PTPv2, Cloc Fìor-ùine Buffered, Client SNTP, frithealaiche SNTP
Pròifilean gnìomhachais Pròtacal EtherNet / IP, Pròtacal IEC61850 (Frithealaiche MMS, Modail Switch), Modbus TCP, Pròtacal PROFINET
Measgachadh Riaghladh IO didseatach, Tarsainn càball làimhe, Port Power Down
Ruith Neach-cuideachaidh IP / UDP, Slighe làn astar uèir, eadar-aghaidh router stèidhichte air port, eadar-aghaidh router stèidhichte air VLAN, Eadar-aghaidh Loopback, Filter ICMP, Craolaidhean air an stiùireadh le lìonra, OSPFv2, RIP v1 / v2, Lorg Router ICMP (IRDP), Cosgais Co-ionann Ioma-shlighe (ECMP), Slighe Static Unicast, Proxy ARP, Rianachd Slighe Statach
Slighe ioma-chraolaidh IGMP v1/v2/v3, IGMP Proxy (Routing Multicast)

 

Suidheachadh àrainneachd

Teòthachd obrachaidh 0-+60 °C
Teòthachd stòraidh / còmhdhail -40-+70 °C
Taiseachd coimeasach (neo-dhlùthachadh) 5-95%

 

Togail meacanaigeach

Meudan (WxHxD) 237 x 148 x 142 mm
Cuideam 2.1 kg
A' cur suas rèile DIN
Clas dìon IP20

 

 


  • Roimhe:
  • Air adhart:

  • Sgrìobh do theachdaireachd an seo agus cuir thugainn e

    Bathar co-cheangailte

    • Hirschmann OCTOPUS 8TX -EEC IP67 gun riaghladh Switch 8 Ports Solar Voltage Trèana 24VDC

      Hirschmann OCTOPUS 8TX -EEC IP67 gun riaghladh...

      Tuairisgeul Tuairisgeul toraidh Seòrsa: OCTOPUS 8TX-EEC Tuairisgeul: Tha na suidsichean OCTOPUS freagarrach airson tagraidhean a-muigh le suidheachaidhean àrainneachd garbh.Mar thoradh air ceadan àbhaisteach meur faodar an cleachdadh ann an tagraidhean còmhdhail (E1), a bharrachd air ann an trèanaichean (EN 50155) agus soithichean (GL).Àireamh Pàirt: 942150001 Seòrsa port agus meud: 8 puirt gu h-iomlan puirt uplink: 10/100 BASE-TX, M12 "D" -còdadh, 4-pòla 8 x 10/100 BASE-...

    • Tionndadh eadar-aghaidh ginealach ùr Hirschmann OZD Profi 12M G11

      Hirschmann OZD Profi 12M G11 Ginealach Ùr Int...

      Tuairisgeul Tuairisgeul toraidh Seòrsa: OZD Profi 12M G11 Ainm: OZD Profi 12M G11 Àireamh Pàirt: 942148001 Seòrsa port agus meud: 1 x optigeach: 2 socaid BFOC 2.5 (STR);1 x dealain: sònrachadh prìne fo-D 9-pin, boireann a rèir EN 50170 pàirt 1 Seòrsa Comharra: PROFIBUS (DP-V0, DP-V1, DP-V2 und FMS) Barrachd eadar-aghaidh solar cumhachd: bloc crìochnachaidh 8-pin , cur suas sgriubha Cuir fios gu comharran: bloc crìochnachaidh 8-pin, sgriubha mounti ...

    • Hirschmann MSP30-24040SCY999HHE2A Tionndadh Ethernet Rèile Modular Gnìomhachais DIN

      Hirschmann MSP30-24040SCY999HHE2A Modular Indus ...

      Ro-ràdh Tha an raon toraidh suidse BPA a’ tabhann modularity iomlan agus diofar roghainnean puirt aig astar luath le suas ri 10 Gbit / s.Bheir pasganan bathar-bog Roghainneil Layer 3 airson slighe fiùghantach unicast (UR) agus slighe ioma-chraoladh fiùghantach (MR) buannachd cosgais tarraingeach dhut - “Dìreach pàigh airson na tha a dhìth ort.”Taing do thaic Power over Ethernet Plus (PoE +), faodaidh uidheamachd crìochnachaidh a bhith air a chumhachdachadh gu cosg-èifeachdach.Tha am BPA 30...

    • Modal Meadhanan Hirschmann M1-8SFP (8 x 100BASE-X le sliotan SFP) airson MACH102

      Modal Meadhanan Hirschmann M1-8SFP (8 x 100BASE-X ...

      Tuairisgeul Tuairisgeul toraidh: Modal meadhan port 8 x 100BASE-X le sliotan SFP airson modular, air a riaghladh, Switch Buidheann-obrach Gnìomhachais MACH102 Àireamh Pàirt: 943970301 Meud lìonra - fad càball Snàithleach modh singilte (SM) 9/125 µm: faic modal SFP LWL M-FAST SFP-SM/LC agus M-FAST SFP-SM +/LC Snàithleach modh singilte (LH) 9/125 µm (transceiver slaodadh fada): faic modal SFP LWL M-FAST SFP-LH / LC Multimode fiber (MM) 50/125 µm: faic...

    • Hirschmann RS20-0800S2S2SDAE Compact air a riaghladh le tionndadh Ethernet Rèile DIN gnìomhachais

      Compact Hirschmann RS20-0800S2S2SDAE air a riaghladh ann an...

      Tuairisgeul toraidh Tuairisgeul air a riaghladh le Fast-Ethernet-Switch airson stòr rèile DIN-agus-gluasad air adhart, dealbhadh gun neach-leantainn;Sreath Bathar-bog 2 Pàirt Meudaichte Àireamh 943434019 Seòrsa port agus meud 8 puirt gu h-iomlan: 6 x àbhaisteach 10/100 BASE TX, RJ45;Uplink 1: 1 x 100BASE-FX, SM-SC;Uplink 2: 1 x 100BASE-FX, SM-SC Barrachd eadar-aghaidh ...

    • Modal Meadhanan Hirschmann M1-8TP-RJ45 (8 x 10/100BaseTX RJ45) airson MACH102

      Modal Meadhanan Hirschmann M1-8TP-RJ45 (8 x 10/100 ...

      Tuairisgeul Tuairisgeul toraidh: 8 x 10/100BaseTX RJ45 modal meadhanan port airson modular, air a riaghladh, Switch Buidheann-obrach Gnìomhachais MACH102 Àireamh Pàirt: 943970001 Meud lìonra - fad càball Paidhir dùbailte (TP): 0-100 m Riatanasan cumhachd Caitheamh cumhachd: 2 W Toradh cumhachd ann am BTU (IT) / h: 7 Suidheachadh àrainneachd MTBF (MIL-HDBK 217F: Gb 25 ºC): 169.95 bliadhna Teòthachd obrachaidh: 0-50 ° C Stòradh / còmhdhail ...